メディア

福田昭

福田昭がアイティメディアで執筆した記事一覧です。

このエントリーをはてなブックマークに追加
記事一覧

福田昭のデバイス通信(467) ECTC現地レポート(5):

「ECTC 2024」は初めて参加者が2000人を突破し、大盛況となった。最終日の昼食会ではラッフル(番号くじ)が行われた。筆者も驚くほど「想定外の豪華賞品」が次々に登場し、会場は大いに盛り上がった。

()

福田昭のデバイス通信(466)ECTC現地レポート(4):

「ECTC 2024」のプレナリーセッションの最終日(2024年5月31日)には、半導体業界の人材育成に関するパネル討論が行われた。その中から中国Central South University(中南大学)と米国Texas Instrumentsの講演を紹介する。

()

福田昭のデバイス通信(465) ECTC現地レポート(3):

「ECTC 2024」のプレナリーセッションの最終日(2024年5月31日)には、半導体業界の人材育成に関するパネル討論が行われた。その中からいくつかの講演を紹介する。

()

福田昭のデバイス通信(464) ECTC現地レポート(2):

引き続き、「ECTC 2024」の現地レポートをお届けする。2024年5月30日のプレナリーセッションでは、半導体パッケージングのスタートアップ企業3社が講演を行った。今回は、この3社のプレゼン内容を紹介する。

()

福田昭のデバイス通信(462) 2024年度版実装技術ロードマップ(1):

電子情報技術産業協会(JEITA)が2年ぶりに実装技術ロードマップを更新し、「2024年度版 実装技術ロードマップ」を2024年6月に発行した。ついに電子書籍となった。2024年6月11日には、5年ぶりとなるリアルでの「完成報告会」を都内で開催した。

()

福田昭のデバイス通信(461) 2022年度版実装技術ロードマップ(85):

長きにわたり続いてきた「2022年度版実装技術ロードマップ」の解説シリーズは、今回で最終回となる。今回は、基板対基板コネクタと光コネクタの動向を解説する。

()

福田昭のデバイス通信(458) 2022年度版実装技術ロードマップ(82):

今回は、「4.1.3.4 実装」の後半2つの項目である「適切なはんだ量の設定」と「スルーホールリフロー(THR)対応コンデンサ」について解説する。

()

福田昭のデバイス通信(456) 2022年度版実装技術ロードマップ(80):

「4.1.3.3 信頼性」の概要を説明する。前回の「振動対策」と「クラック対策」に続き、今回は「電蝕対策」の内容を解説する。

()

福田昭のデバイス通信(455) 2022年度版実装技術ロードマップ(79):

今回は「4.1.3.3 信頼性」の概要を説明する。その中から、「振動対策」と「クラック対策」を取り上げる。

()

福田昭のデバイス通信(454) 2022年度版実装技術ロードマップ(78):

今回は「(2)3端子貫通型フィルタの接続と実装のポイント」の概要を説明する。3端子貫通型フィルタを電源ラインに接続する2つの方法と、それぞれの用途を解説する。

()

福田昭のデバイス通信(453) 2022年度版実装技術ロードマップ(77):

今回から、第4章第1節第3項「4.1.3 部品実装・設計時の注意点」の2番目の項目、「4.1.3.2 電気性能」の概要を説明する。

()

福田昭のデバイス通信(452) 2022年度版実装技術ロードマップ(76):

後編となる今回は、「チップ抵抗器の温度上昇と基板放熱の関係」と、「基板放熱に適した新たな温度基準と取組み」の概要を紹介する。

()

福田昭のデバイス通信(451) 2022年度版実装技術ロードマップ(75):

今回から、第4章第1節第3項「部品実装・設計時の注意点」の概要を説明していく。この項は、「熱設計」「電気性能」などの4つのパートで構成される。

()

福田昭のデバイス通信(450) 2022年度版実装技術ロードマップ(74):

後編となる今回は、「セラミックコンデンサの高容量化・低ESR化、薄型化」や「チップ抵抗器の高電力化」について解説する。

()

福田昭のデバイス通信(449) 2022年度版実装技術ロードマップ(73):

前回に続き、第4章「電子部品」の概要を説明する。「4.1.2 技術動向」は、「インダクタのインダクタンス値の拡大」など、3つの項目で構成される。

()

福田昭のデバイス通信(448) 2022年度版実装技術ロードマップ(72):

JEITA「2022年度版 実装技術ロードマップ」を解説するシリーズ。今回から、第4章「電子部品」の概要を説明していく。

()

福田昭のデバイス通信(447) 2022年度版実装技術ロードマップ(71):

今回は第3章第4節第8項(3.4.8)「パッケージ基板」の概要を説明する。パッケージ基板の変遷と、パッケージ基板に対する要求仕様のロードマップを解説する。

()

福田昭のデバイス通信(443) 2022年度版実装技術ロードマップ(67):

今回は第3章第4節第3項(3.4.3)「ウエハ(チップ)薄型化技術とウエハハンドリング」の概要を説明する。第3項は、裏面研磨技術、ウエハーダイシング技術、DBG(Dicing Before Grinding)プロセスの3つで構成される。

()

福田昭のデバイス通信(438) 2022年度版実装技術ロードマップ(62):

今回は、第3章第3節第4項「車載パワーデバイス」から、「パワーデバイスの発展」を解説する。

()

福田昭のデバイス通信(437) 2022年度版実装技術ロードマップ(61):

今回は、FO-WLP(Fan Out-Wafer Level Package)のロードマップと、FO-PLP(Fan Out-Panel Level Package)の一種ともみなせる部品内蔵基板について解説する。

()

福田昭のデバイス通信(436) 2022年度版実装技術ロードマップ(60):

今回は初期の「FO-WLP」で生じた信頼性の問題と、問題を解決した組み立てプロセス、再配線層(RDL)を微細化したプロセスを解説する。

()

福田昭のデバイス通信(429) 2022年度版実装技術ロードマップ(53):

JEITAが発行する「2022年度版 実装技術ロードマップ」を紹介するシリーズ。今回から、第2章第6節第6項「2.6.6 接合材料」の概要を紹介していく。

()

福田昭のデバイス通信(426) 2022年度版実装技術ロードマップ(50):

今回は、第2章第6節第4項「ロボット」の概要を解説する。

()